Aller au contenu

bencol

Membres confirmés
  • Compteur de contenus

    106
  • Inscription

  • Dernière visite

  • Jours gagnés

    1

Messages posté(e)s par bencol

  1. Question  aux experts :

    pour relancer une scène, sauf erreur il y a 2 méthodes :

     

    solution 1 :

    fonctionA

    while xxxx do

         appel fonctionA

         fibaro:sleep

    end

     

    solution 2 :

    fibaro:sleep

    fibaro:startScene

     

    Quelle est la meilleure méthode sur la HC2 ?

     

     

     

     

     

     

  2. Quelle tempête !

    Cessez de pester et accepter le fait d'être une bande de geek en avance sur notre temps à  bidouiller les solutions du futur.

    La domotique est un domaine d'avenir qui doit encore se stabiliser et se démocratiser...

    Fibaro a besoin de nous comme nous avons besoin d'eux pour s'éclater, se passionner et préparer l'avenir de nos concitoyens.

     

    Soyez fier de faire partie de cette révolution. Vous le raconterez à  vos enfants et vos petits enfants...

    • Upvote 1
  3. qqs retours pour ma part (migration 3.6 vers 4.030)

    - mes modules FGMS ont tous changé d'ID...

    - le sismographe est apparu mais pas l'icone correspondant

    - il faut supprimer les '' sur la commande OrigineTrigger['deviceID'] == 'xxx', il semblerait que la valeur soit passée en numérique

    - il y a plusieurs modules proposés à  la reconfiguration mais qui correspondent à  des modules dont certaines fonctions n'étaient pas compatible auparavant.

    - un wallplug vu comme mort, j'ai du le réinsérer. Peut être lié à  la modif du réseau zwave car ce dernier a tjs eu un pb de communication. Suite réinsertion, la version apparait alors qu'elle n'est pas présente pour les wallplug de meme génération

     

    pas d'autre pb à  ce stade, même SARAH fonctionne correctement (sens fibaro vers SARAH pour vocalisation)

    • Upvote 2
  4. Apprends lui à  ramper sous les détecteurs ... :lol:

     

    Bon sinon tu peux essayer de lever la tête du détecteur pour qu'il ne détecte pas au sol ou bien faire une scène en comptant le nb de détection et en ne déclenchant l'alarme qu'à  partir de plusieurs détections et éventuellement à  partir de plusieurs détecteurs.

    Sinon faut effectivement passer sur une vrai alarme. J'ai des animaux à  la maison, une vrai alarme et pas de pb.

  5. Voilà  ma solution (je suis un peu ému, c'est la première fois que je publie, j'ai reçu ma HC2 il y a une semaine :P )

     

    Je n'utilise pas de scènes mais un module virtuel uniquement. L'objectif est de piloter un éclairage (via wallplug) soit par un détecteur soit par un bouton sur l'interface avec un timer d'extinction qui se prolonge aussi longtemps qu'il y a une détection (ou bien que l'on éteigne par le bouton).

     

    J'ai 2 variables globales :

    - LampeSalonTV_Duree

    - LampeSalonTV_Timer

     

    J'ajoute un bouton à  ce module qui contient le code suivant :

    -- Wallplug : id6
    
    local selfId = fibaro:getSelfId();
    
    if (fibaro:getValue(6, "value")=='0')	-- lampe éteinte
    then
    	-- modif icone allumé
      	fibaro:call(selfId, "setProperty", "currentIcon", 1011);
      	-- allumage lampe
      	fibaro:call(6, "turnOn"); 
      	-- initialisation du timer		
      	fibaro:setGlobal("LampeSalonTV_Timer", fibaro:getGlobalValue("LampeSalonTV_Duree") * 60);
    
    else
      	-- modif icone éteint
      	fibaro:call(selfId, "setProperty", "currentIcon", 1012);	
      	-- extinction lampe
      	fibaro:call(6, "turnOff");
      	-- affichage message	
      	fibaro:log("Lampe éteinte.");
    end
    
    
    

    puis dans le main loop je mets :

    -- Wallplug : id6
    -- Détecteur : id15
    
    -- déclaration des variables
    local selfId = fibaro:getSelfId();
    local Seuil = 10;		-- seuil de luminosité en lux
    local Maintenant = os.date("*t");
    
    -- Gestion de l'extinction
    if (fibaro:getValue(6, "value") == '1' ) 	-- lampe allumée
    then
    	-- décrémentation du compteur (par pas de 3s car  mainloop toutes les 3s)
      	fibaro:setGlobal("LampeSalonTV_Timer", tonumber(fibaro:getGlobalValue("LampeSalonTV_Timer")) - 3);
      	-- affichage du temps restant
      	fibaro:log("Extinction dans " ..fibaro:getGlobalValue("LampeSalonTV_Timer") .." s");
      	-- si timer vidé => extinction de la lampe      
      	if (tonumber(fibaro:getGlobalValue("LampeSalonTV_Timer")) <= 0)
        then 
        	fibaro:call(selfId, "setProperty", "currentIcon", 1012);	-- modif icone éteint	
        	fibaro:call(6, "turnOff");	-- extinction lampe    	
      		fibaro:log("Lampe éteinte.");	-- affichage message
        end
    end
    
    -- Gestion d'une détection de mouvement
    if (fibaro:getValue(15, "value") == '1'					 -- mouvement détecté
        and tonumber(fibaro:getValue(17, "value")) <= Seuil  -- luminosité faible
        and (Maintenant['hour'] >= 16 or Maintenant['hour'] <= 1))	-- heure > 19 h et heure < 1 h du matin  
    then
    	-- initialisation du timer
        fibaro:setGlobal("LampeSalonTV_Timer", fibaro:getGlobalValue("LampeSalonTV_Duree") * 60);
      	-- modif icone allumé		
      	fibaro:call(selfId, "setProperty", "currentIcon", 1011);	
      	-- allumage lampe
        fibaro:call(6, "turnOn");
    end
    

    Bon, je laisse aux experts le soin de me dire si cette solution est nickel ou pas.

    En attendant elle fonctionne parfaitement.

     

    • Upvote 1
×
×
  • Créer...